Stahlbush / Neudeck / Bhalla | Silicon Carbide and Related Materials 2017 | Sonstiges | 978-3-0357-2145-4 | sack.de

Sonstiges, Englisch, Band Volume 924, 1042 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

Reihe: Materials Science Forum

Stahlbush / Neudeck / Bhalla

Silicon Carbide and Related Materials 2017

ICSCRM 2017

Sonstiges, Englisch, Band Volume 924, 1042 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

Reihe: Materials Science Forum

ISBN: 978-3-0357-2145-4
Verlag: Trans Tech Publications


This collection of papers by results of the 2017 International Conference on Silicon Carbide and Related Materials (ICSCRM 2017, September 17-22 in Washington, DC, USA) presents for readers the latest progress in the field of development and production of silicon carbide semiconductors and their application in the power electronic devices.
Stahlbush / Neudeck / Bhalla Silicon Carbide and Related Materials 2017 jetzt bestellen!

Weitere Infos & Material


SEMI Standards for SiC WafersOptimization of 150 mm 4H SiC Substrate Crystal QualityStructural Characterization of the Growth Front of 4H-SiC Boules Grown Using the Physical Vapor Transport Growth MethodInvestigation of Run-to-Run Fluctuation in Growth Conditions of Physical Vapor Transport Growth of 4H-SiC CrystalsResistivity Increase in 6H-SiC Crystal Grown with Simple Modification in PVT ProcessThe Effect of Stepped Wall of the Graphite Crucible in Top Seeded Solution Growth of SiC CrystalDevelopment of Solvent Inclusion Free 4H-SiC Off-Axis Wafer Grown by the Top-Seeded Solution Growth TechniqueEffect of the Growth Conditions on the Crystal Quality in Solution Growth of SiC Using Cr Solvent without Molten SiDislocation Behavior in Bulk Crystals Grown by TSSG MethodExperimental Determination of Carbon Solubility in Si0.56Cr0.4M0.04 (M = Transition Metal) Solvents for Solution Growth of SiCModification of Crucible Shape in Top Seeded Solution Growth of SiC CrystalSolution Growth of SiC from the Crucible Bottom with Dipping under Unsaturation State of Carbon in SolventInfluence of Additives on Surface Smoothness and Polytype Stability in Solution Growth of n-Type 4H-SiCSuppression of Polytype Transformation with Extremely Low-Dislocation-Density 4H-SiC Crystal in Two-Step Solution MethodStatus and Trends in Epitaxy and Defects99.9% BPD Free 4H-SiC Epitaxial Layer with Precisely Controlled Doping upon 3 x 150 mm Hot-Wall CVDGrowth of 150 mm 4H-SiC Epitaxial Layer by a Hot-Wall ReactorGlide of Basal Plane Dislocations during 150 mm 4H-SiC Epitaxial Growth by a Hot-Wall ReactorGrowth of 4H-SiC Epitaxial Layer through Optimization of Buffer LayerHigh In-Wafer Uniformity of Growth Rate and Carrier Concentration on n-Type 4H-SiC Epitaxial Films Achieved by High Speed Wafer Rotation Vertical CVD ToolImproved Uniformity of Silicon Carbide Epitaxy Grown in a High-Volume Multi-Cassette Epitaxy ReactorQuick and Practical Cleaning Process for Silicon Carbide Epitaxial ReactorUnderstanding the Chemistry in Silicon Carbide Chemical Vapor DepositionTriangular Defects Reduction and Uniformity Improvement of 4H-SiC Epitaxial Growth in a Planetary ReactorReduction of Surface and PL Defects on n-Type 4H-SiC Epitaxial Films Grown Using a High Speed Wafer Rotation Vertical CVD ToolInfluence and Mutual Interaction of Process Parameters on the Z1/2 Defect Concentration during Epitaxy of 4H-SiCCVD Filling of Narrow Deep 4H-SiC Trenches in a Quasi-Selective Epitaxial Growth ModeHot Filament CVD Growth of 4H-SiC Epitaxial LayersStacking Faults Defects on 3C-SiC Homo-Epitaxial FilmsSilicon (001) Heteroepitaxy on 3C-SiC(001)/Si(001) SeedPerformance and Reliability Impacts of Extended Epitaxial Defects on 4H-SiC Power DevicesComparative Evaluation of Forward Voltage Degradation due to Propagating and Converted Basal Plane DislocationsDefects and Polytype InstabilitiesExpansion of Basal Plane Dislocation in 4H-SiC Epitaxial Layer on A-Plane by Electron Beam IrradiationExtension, Closure and Conversion of In-Grown Stacking Faults in 4H-SiC EpilayersImmobilization Phenomenon of Partials Surrounding Double Shockley Stacking Faults in Heavily Nitrogen Doped 4H-SiC Crystal with Thermal AnnealInfluence of Triangular Defects on the Electrical Characteristics of 4H-SiC DevicesOrigin Analysis and Elimination of Obtuse Triangular Defects in 4? Off 4H-SiC Epitaxy
In Situ Synchrotron X-Ray Topography Observation of Double-Ended Frank-Read Sources in PVT-Grown 4H-SiC WafersDirect Observation of Stress Relaxation Process in 4H-SiC Homoepitaxial Layers via In Situ Synchrotron X-Ray TopographyX-Ray Topography Analysis of 4H-SiC Crystals Grown by the High-Temperature Gas Source MethodAnalysis of Compensation Effects in Aluminum-Implanted 4H-SiC DevicesComparison of Conduction Mechanisms in Heavily Al-Doped 4H-SiC and Heavily Al- and N-Codoped 4H-SiCModeling and Simulation of Electrical Activation of Acceptor-Type Dopants in Silicon CarbideOptical Stressing of 4H-SiC Material and DevicesDiffusion of the Carbon Vacancy in a-Cut and c-Cut n-Type 4H-Si?Various Single Photon Sources Observed in SiC Pin DiodesDislocation Analysis of p Type and Insulating HPHT Diamond Seed CrystalsInfluence of Dislocations to the Diamond SBD Reverse CharacteristicsComparison of the Effects of Electron and Proton Irradiation on 4H-SiC and Si Device StructuresOptical and Microstructural Investigation of Heavy B-Doping Effects in Sublimation-Grown 3C-SiCDeep Level Defects in 4H-SiC Epitaxial LayersRadiation Hardness for Silicon Oxide and Aluminum Oxide on 4H-SiCKinetics Modeling of the Carbon Vacancy Thermal Equilibration in 4H-SiCHigh Resolution Optical Spectroscopy of Free Exciton and Electronic Band Structure near the Fundamental Gap in 4H SiCGrowth Conditions and In Situ Computed Tomography Analysis of Facetted Bulk Growth of SiC BoulesRearrangement of Surface Structure of 4o Off-Axis 4H-SiC (0001) Epitaxial Wafer by High Temperature Annealing in Si/Ar AmbientThe Effects of Illumination on Point Defects Detected in High Purity Semi-Insulating 4H-SiCEffect of Electron Irradiation on Electrical and Electroluminescent Properties of n+p 4H-SiC StructuresEvaluation of the Effect of Ultraviolet Light Excitation during Characterization of Silicon Carbide Epitaxial LayersDepth Profiling of Carrier Lifetime in Thick 4H-SiC Epilayers Using Two-Photon AbsorptionMicroscopic FCA System for Depth-Resolved Carrier Lifetime Measurement in SiCCharacterization of Inhomogeneity in Thermal Oxide SiO2 Films on 4H-SiC Epitaxial Substrates by a Combination of Fourier Transform Infrared Spectroscopy and Cathodoluminescence SpectroscopyDetermination of Performance-Relevant Trapped Charge in 4H Silicon Carbide MOSFETsOxidation-Process Dependence of Single Photon Sources Embedded in 4H-SiC MOSFETsOxide Traps Probed by Transient Capacitance Measurements on Lateral SiO2/4H-SiC MOSFETsImprovement of Local Deep Level Transient Spectroscopy for Microscopic Evaluation of SiO2/4H-SiC InterfacesAnnealing Behavior of Electrical Resistivities Perpendicular and Parallel to the Basal Plane of Heavily Nitrogen-Doped 4H-SiC CrystalsElectrical Challenges of Heteroepitaxial 3C-Sic on SiliconSimulations of Heterostructures Based on 3C-4H and 6H-4H Silicon Carbide PolytypesStructural Quality, Polishing and Thermal Stability of 3C-SiC/Si TemplatesTHz Emission from SiC Natural Superlattice Diodes Induced by Strong Electrical FieldInfrared Reflectance Study of the Graphene/Semi-Insulating 6H-SiC(0001) HeterostructureInfluence of Aluminum Incorporation on Mechanical Properties of 3C-SiC EpilayersChemical Trend in Band Structure of 3d-Transition-Metal-Doped AlN FilmsAbout the Electrical Activation of 1?1020 cm-3 Ion Implanted Al in 4H-SiC at Annealing Temperatures in the Range 1500 - 1950?CMetal/Semiconductor Contacts to Silicon Carbide: Physics and TechnologyApplication of Si-Vapor Ambient Anneal for Post Ion Implantation Anneal and Simultaneous Improvement of Trench Sidewall SmoothnessCharacterization of pn-Diode Fabricated from Surface Damage-Free 4H-SiC Wafer Using Si-Vapor Etching ProcessEffect of Ion Implantation-Induced Defects on Leakage Current Characteristics of IEMOSDouble Step Annealing for the Recovering of Ion Implantation Defectiveness in 4H-SiC DIMOSFETInfluence of Lateral Straggling of Implated Aluminum Ions on High Voltage 4H-SiC Device Edge Termination DesignInvestigation of Forward Voltage Degradation due to Process-Induced Defects in 4H-SiC MOSFET4H-Silicon Carbide Wafer Surface after Chlorine Trifluoride Gas EtchingSurface Erosion of Ion-Implanted 4H-SiC during Annealing with Carbon CapStudy of Ti/Al/Ni Ohmic Contacts to p-Type Implanted 4H-SiCPt:Ti Diffusion Barrier, Interconnect, and Simultaneous Ohmic Contacts to n- and p-Type 4H-SiCNi-Al-Ti Ohmic Contacts with Preserved Form Factor and Few 10-4 Ocm2 Specific Resistance on 0.1-1 Ocm p-Type 4H-SiCLow Temperature Ni-Al Ohmic Contacts to p-Type 4H-SiC Using Semi-Salicide ProcessingInfluence of Al Doping Concentration and Annealing Parameters on TiAl Based Ohmic Contacts on 4H-SiCFormation of the Uniform Interface Ni/4H-SiC Ohmic Contact with Titanium as Barrier LayerExtremely Thermal Stable Ni/W/TaSi2/Pt Simultaneous Ohmic Contacts to n-Type and p-Type 4H-SiCElectrical Property Study of Ni/Nb Contact to n-Type 4H-SiCLow Resistance Ti5Si3/TiC Ohmic contact on Ion-Implanted n-Type 4H-SiC C FaceFormation of Ohmic Contacts to n-Type 4H-SiC at Low Annealing TemperaturesInvestigation on the Effect of Ge Co-Doped Epitaxy on 4H-SiC Based MPS Diodes and Trench MOSFETs4H-SiC pMOSFETs with Al-Doped S/D and NbNi Silicide Ohmic ContactsEffect of Design Variations and N2O Annealing on 1.7kV 4H-SiC DiodesCarrier Lifetimes in 4H-SiC Epitaxial Layers on the C-Face Enhanced by Carbon ImplantationLocal Lifetime Control in 4H-SiC by Proton IrradiationLifetime Enhancement of 4H-SiC PiN Diodes Using High Temperature Oxidation TreatmentIsotropic Oxidation by Plasma Oxidation and Investigation of RIE Induced Effects for Development of 4H-SiC Trench MOSFETsStudy on NO Passivation on the Near Interface Electron and Hole Traps of n-Type 4H-SiC MOS Capacitors by Ultraviolet LightOxygen Pressure Controlled Oxidation for Gate Insulator Process of SiC MOSFETsNovel Gate Insulator Process by Nitrogen Annealing for Si-Face SiC MOSFET with High-Mobility and High-ReliabilityImprovement of SiO2/4H-SiC(0001) Interface Properties by H2 and Ar Mixture Gas Treatment Prior to SiO2 DepositionEffects of CF4 Surface Etching on 4H-SiC MOS CapacitorsThe Effect of Nitrogen on the 4H-SiC/SiO2 Interface Studied with Variable Resonance Frequency Spin Dependent Charge PumpingTemperature-Dependence Study of the Gate Current SiO2/4H-SiC MOS CapacitorsCorrelation between Field Effect Mobility and Accumulation Conductance at 4H-SiC MOS Interface with BariumEffect of High Temperature Forming Gas Annealing on Electrical Properties of 4H-SiC Lateral MOSFETs with Lanthanum Silicate and ALD SiO2 Gate DielectricEffect of Post Oxide Annealing on the Electrical and Interface 4H-SiC/Al2O3 MOS CapacitorsAnalysis of 3-Dimensional 4H-SiC MOS Capacitors Grown by Atomic Layer Deposition of Al2O3High-Mobility SiC MOSFETs Using a Thin-SiO2/Al2O3 Gate StackImproved Threshold Voltage Instability in 4H-SiC MOSFETs with Atomic Layer Deposited SiO2Borosilicate Glass (BSG) as Gate Dielectric for 4H-SiC MOSFETsMOCVD Compatible Atomic Layer Deposition Process of Al2O3 on SiC and Graphene/SiC HeterostructuresIncoming and Inline Defectivity Control Solutions for Silicon Carbide ManufacturingRegional Manufacturing Cost Structures and Supply Chain Considerations for SiC Power Electronics in Medium Voltage Motor DrivesPRESiCETM: Process Engineered for Manufacturing SiC Electronic DevicesAutomated Mapping of Micropipes in SiC Wafers Using Polarized-Light MicroscopeCrystal Defect Analysis of Latent Scratch Induced during CMP Process on 4H-SiC Wafer Using Electron MicroscopyStress Relaxation Mechanism after Thinning Process on 4H-SiC SubstrateSurface Engineering of SiC through Nanogrinding and CMPObservation of a Latent Scratch on Chemo-Mechanical Polished 4H-SiC Wafer by Mirror Projection Electron MicroscopyTLS-Dicing for SiC - Latest Assessment ResultsReliability of SiC Power Devices against Cosmic Ray Neutron Single-Event BurnoutPractical Design of 4H-SiC Superjunction Devices in the Presence of Charge ImbalanceConductivity Modulated and Implantation-Free 4H-SiC Ultra-High-Voltage PiN DiodesFabrication of 2.5kV 4H-SiC PiN Diodes with High Energy Implantation (>12MeV) of Al+ and B+Near Breakdown Voltage Optical Beam Induced Current (OBIC) on 4H-SiC Bipolar DiodeH3TRB Test on 650 V SiC JBS Diodes4H-SiC 1200 V Junction Barrier Schottky Diodes with High Avalanche RuggednessStudy of Temperature-Dependent Mechanisms and Characteristics of 4H-SiC Junction Barrier Schottky RectifiersSurge Driven Evolution of Schottky Barrier Height on 4H-SiC JBS DiodesPerformance Evaluation of SiC JBS Diodes Rated for 6.5kV ApplicationsMulti-Level Trap Assisted Tunneling Model for the Field and Temperature Dependence of SiC-JBS Reverse Leakage CurrentEffect of High Energy Electron Irradiation on Electrical and Noise Properties of 4H-SiC Schottky DiodesSiC MPS Devices: One Step Closer to the Ideal DiodeDesign and Manufacturing of 1200V SiC JBS Diodes with Low On-State Voltage Drop and Reverse Blocking Leakage CurrentBreakdown Field Model for 3C-SiC Power Device SimulationsAnalysis of Forward Surge Performance of SiC Schottky DiodesA High Current Gain 4H-SiC BJT of Novel Epitaxial Passivation StructureEffects of Parasitic Region in SiC Bipolar Junction Transistors on Forced Current GainBlocking Performance Improvements for 4H-SiC P-GTO Thyristors with Carrier Lifetime Enhancement ProcessesImpact of Cell Layout and Device Structure on On-Voltage Reduction of 6.5-kV n-Channel SiC IGBTsHigh Workfunction, Compound Gate Metal Engineering for Low DIBL, High Gain, High Density Advanced RF Power Static Induction Transistor (SIT) and HV Schottky Diode in 4H Silicon CarbideInvestigation of 4H-SiC Extraction-Enhanced Vertical Insulated-Gate Bipolar Transistor with Lightly Doped Extractor in Collector RegionA Continuous Semi-Empirical VJFET Capacitance Model from Sub to above Threshold RegimeCross-Section Doping Topography of 4H-SiC VJFETs by Various TechniquesOn the Optimum Determination and Use of SiC VJFET Threshold VoltageImpact of Embedding Schottky Barrier Diodes into 3.3 kV and 6.5 kV SiC MOSFETsHole Trapping in the NBTI Characteristic of SiC MOSFETsComprehensive Evaluation of Bias Temperature Instabilities on 4H-SiC MOSFETs Using Device PreconditioningSwitching Reliability of SiC-MOSFETs Containing Expanded Stacking FaultsVertical Tri-Gate Power MOSFETs in 4H-SiC1.2 kV 4H-SiC Split-Gate Power MOSFET: Analysis and Experimental ResultsTCAD Modeling of a 1200 V SiC MOSFETSimulation-Based Sensitivity Analysis of Conduction and Switching Losses for Silicon Carbide Power MOSFETsReliability and Ruggedness of 1200V SiC Planar Gate MOSFETs Fabricated in a High Volume CMOS Foundry4600 V Sic Dmosfets with RDS,On = 17 m?-cm24H-SiC Trench MOSFET with Ultra-Low On-Resistance by Using Miniaturization TechnologySuppression of PBTI of SiC-MOSFETs under 100 kHz Gate-Switching Operation by Using a Gate Off-Voltage of -5 VShort-Circuit Robustness of SiC Trench MOSFETsElectrical Performances and Physics Based Analysis of 10kV SiC Power MOSFETs at High TemperaturesImpact of a Kelvin Source Connection on Discrete High Power SiC-MOSFETsSuppression of Short-Circuit Current with Embedded Source Resistance in SiC-MOSFET3300V SiC DMOSFETs Fabricated in High-Volume 150 mm CMOS FabEffect of Negative Gate Bias on Single Pulse Avalanche Ruggedness of 1.2 kV Silicon Carbide MOSFETsStatic and Dynamic Characterization of a 3.3 Kv, 45 A 4H-Sic MOSFETInfluences of Bias Interruption and Reapplication on High-Temperature Threshold-Voltage Shifts of SiC DMOSFETsRole of Trench Bottom Shielding Region on Switching Characteristics of 4H-SiC Double-Trench MosfetsComparison of Single- and Double-Trench UMOSFETs in 4H-SiCImpact of Cell Geometry on Zero-Energy Turn-Off of SiC Power MOSFETsImpact of Stripe Trench-Gate Structure for 4H-SiC Trench MOSFET with Bottom Oxide Protection LayerShielded Gate SiC Trench Power MOSFET with Ultra-Low Switching LossDevelopment of a High-Performance 3,300V Silicon Carbide MOSFETComparison of 3C-SiC and 4H-SiC Power MOSFETsInvestigation of the Robust Edge Termination Applied to 6.5kV SiC MOSFETPlanar to Trench: Short Circuit Capability Analysis of 1.2 kV SiC MOSFETsContinuous Compact Model of a SiC VDMOSFET Based on Surface Potential TheoryRecent Developments Accelerating SiC AdoptionPower Electronic Devices and Systems Based on Bulk GaN SubstratesReliability Challenges for SiC Power Devices in Systems and the Impact on Reliability TestingSiC MOSFET Device Parameter Spread and Ruggedness of Parallel Multichip StructuresAn Experimental Demonstration of Short Circuit Protection of SiC Devices650 V, 7 mO 4H-SiC DMOSFETs for Dual-Side Sintered Power ModulesCharacterization and Modeling of a SiC MOSFET?s Turn-Off Overvoltage1MHz Switching Operation of 1200V Full SiC Power ModuleAn Auxiliary Power Supply for Gate Drive of Medium Voltage SiC Devices in High Voltage Applications30 kV Pulse Diode Stack Based on 4H-SiC30-kW All-SiC Inverter with 3D-Printed Air-Cooled Heatsinks for Plug-In and Full Electric Vehicle ApplicationsExtremely Compact Half-Bridge SiC Power Modules Built into EV In-Wheel MotorFirst Demonstration of High Temperature SiC CMOS Gate Driver in Bridge Leg for Hybrid Power Module ApplicationExperimental Demonstration on Ultra High Voltage and High Speed 4H-SiC DSRD with Smaller Numbers of Die Stacks for Pulse PowerSiC JBS Diode Symmetrical Voltage Doubler Represented as the Diffusion-Welded StackThe Development of High Thermal Conductivity SiC Power Modules through the Implementation of Advanced Cooling Techniques Coupled with High Heat Transfer MaterialsShort-Circuit Capability of SiC CascodeBenefits of High Voltage SiC Applications in Medium Voltage Power Distribution GridsDesign of SiC-Based DC-DC Converters for Future Offshore Wind Power ApplicationsModule and System Considerations to Maximize Performance Advantages of SiC Power DevicesPerformance and Reliability Requirements for the Application of SiC Power MOSFET in Electrified Vehicle Drive Systems
Ab Initio Theory of Si-Vacancy Quantum Bits in 4H and 6H-SiCAnalytical Model for the Influence of the Gate-Voltage on the Forward Conduction Properties of the Body-Diode in SiC-MOSFETsProcessing of Cavities in SiC Material for Quantum TechnologiesGraphene/SiC Functionalization for Blood Type Sensing Applications3C-Si? Hetero-Epitaxially Grown on Silicon Compliance Substrates and New 3C-Si? Substrates for Sustainable Wide-Band-Gap Power Devices (CHALLENGE)Lateral GaN MISFETs Fabricated in Mg Ion Implanted LayerHigh Quality AlN Single Crystal Substrates for AlGaN-Based DevicesAddressing the Properties of Ultranano- and Microcrystalline CVD Diamond Films Grown on 4H-SiC SubstratesElectrical Properties of Schottky-Diodes Based on B Doped DiamondElectric Field Characterization of Diamond Metal Semiconductor Field Effect Transistors Using Electron Beam Induced CurrentAnalysis of ZrxSiyOz as High-k Dielectric for 4H-SiC MOSFETsElectrochemical Formation of Porous Silicon Carbide for Micro-Device ApplicationsProlonged 500?C Operation of 100+ Transistor Silicon Carbide Integrated CircuitsDesign and Simulation of Bipolar 4H-SiC Memory Architecture for High Temperature ApplicationsElectrical Characterization of Integrated 2-Input TTL NAND Gate at Elevated Temperature, Fabricated in Bipolar Si?-TechnologyInclusion of Body Bias Effect in SPICE Modeling of 4H-SiC Integrated Circuit ResistorsHigh Temperature Behavior Prediction Techniques for Non-Uniform Ni/SiC Schottky DiodesLow-Parasitic-Capacitance Self-Aligned 4H-SiC nMOSFETs for Harsh Environment ElectronicsComplementary p-Channel and n-Channel SiC MOSFETs for CMOS IntegrationAn Improved I-V Model of GaN HEMT for High Temperature ApplicationsElectrical Characterization of the Operational Amplifier Consisting of 4H-SiC MOSFETs after Gamma IrradiationMagnetic Field Sensing with 4H SiC Diodes: N vs P ImplantationHigh Current Silicon Carbide Diodes as Dose Detectors for Hard X-Rays


Eds. Robert Stahlbush, Philip Neudeck, Anup Bhalla, Robert P. Devaty, Michael Dudley and Aivars Lelis


Ihre Fragen, Wünsche oder Anmerkungen
Vorname*
Nachname*
Ihre E-Mail-Adresse*
Kundennr.
Ihre Nachricht*
Lediglich mit * gekennzeichnete Felder sind Pflichtfelder.
Wenn Sie die im Kontaktformular eingegebenen Daten durch Klick auf den nachfolgenden Button übersenden, erklären Sie sich damit einverstanden, dass wir Ihr Angaben für die Beantwortung Ihrer Anfrage verwenden. Selbstverständlich werden Ihre Daten vertraulich behandelt und nicht an Dritte weitergegeben. Sie können der Verwendung Ihrer Daten jederzeit widersprechen. Das Datenhandling bei Sack Fachmedien erklären wir Ihnen in unserer Datenschutzerklärung.